用matlab中的simulink如何画出电流互感器的模型,十万火急呀?

kuaidi.ping-jia.net  作者:佚名   更新日期:2024-07-04
如何用MATLAB/Simulink建立电流互感器模型来仿真其误差特性

同求电磁式电流互感器模型

使用simElectronics工具箱,或者simpowersystem工具箱来做建模。里面有现成的电子元器件。

在simulink中没有专门的电流互感器模型,可以通过用simpowersystem中的elements中的Saturable Transformer这个饱和变压器来模拟,初始是三个变比,你双击模块,可以选择为两个变比的变压器,然后设定变比。只是接线上注意与主变的接法相反。主变Y/D则互感器D/Y。
现在还有种互感器两侧都接为Y/Y型,若主变Y/D则三角形侧不变,而一次侧即Y型侧,对应的互感器电流则采用两项相减。i(ak)=i(a)-i(b);i(bk)=i(b)-i(c);i(ck)=i(c)-i(a);i(a,b,c)表示三相电流采样值。i(ak)表示补充后的电流。
这个方法可参考书“微型机继电保护原理”张举。P176页。这久也是在做毕业设计用到,互感器饱和对变压器的差动保护影响。

simpowersystem中有吧?电流互感器。

  • 如何在Matlab中使用Simulink库?
    答:1、第一步,打开Matlab软件的Simulink库,见下图,转到下面的步骤。2、第二步,执行完上面的操作之后,依次单击“File”-->“New”-->“Model”选项,创建一个新的模型界面,见下图,转到下面的步骤。3、第三步,执行完上面的操作之后,绘制传递函数,使用Inport和Outport,见下图,转到下面的步骤。4...
  • 怎样在MATLAB中创建一个simulink仿真模块?
    答:1、首先我们选择鼠标单击打开MATLAB工作界面。2、选择下面显示的Simulink按钮以打开Simulink工作环境。3、Simulink设备库可以包含图中数字2的列表。在设备库中,库中包含子设备,请参见图中的标签3。4、要创建一个新的Simulink仿真要价,请单击图中的标签1以创建一个空白的Simulink仿真文件。5、新的Simulink...
  • matlab中simulink是做什么用的?
    答:Simulink是matlab下基于框图的用于复杂动态系统建模的工具。今以 y"+4y'+4y=cos2t(0≤t≤10),y(0)=1,y'(0)=-2 二阶微分方程为例,用Simulink求解的步骤如下:第一步、分析微分方程的特点确定模块 我们将y"+4y'+4y=cos2t方程改写成y"=cos2t-4y'-4y,从右边可知,式子是由cos2t外加载...
  • 怎样在matlab上创建simulink模型?
    答:首先需要打开matlab主界面,对于已有simulink模型文件,双击打开,会首先启动matlab,再打开模型;而如果想创建simulink文件,也是通过matlab启动此模块后进行。方法一,初始化参数。对于某模块,其中需要输入参数,如下图,是一常数模块,这里输入英文“u”这一标记,在模型求解过程中,会从matlab空间中,寻找其...
  • matlab中simulink模块是干嘛用的?
    答:matlab中simulink模块是用来仿真的,用于对动态系统进行建模、仿真和分析的工具包,连接不上的解决方法为:1、首先,我们要打开使用的matlab软件。2、接下来启动simulink工具,可以通过命令行或工具按钮。3、先打开一个simulink仿真库浏览。4、创建一个正弦波放大实例,先在库浏览的sources找到sine wave模块,...
  • 如何启动matlab中的simulink模块?
    答:首先启动Matlab软件,下面介绍方法一,该方法适合较早的Matlab版本,目前有些版本不能用该方法启动simulink。首先在软件左下角有类似电脑系统的“开始”菜单,单击打开。启动开始菜单后,找到“simulink”选项,单击“Library Browser”选项即可启动“simulink”,“Library Browser”是simulink所有形状模块浏览器,...
  • MATLAB中的simulink怎么用
    答:1、先运行MATLAB,因为只有启动了MATLAB才能进行动态仿真。选择工具栏的“主页”,然后选择并点击“Simulink 库”。2、打开了Simulink Library Browser。在菜单栏中选择“file”,然后选择“new”。3、有两个选项,可以新建一个“Model”和“Library”。我们选择,新建一个Model。4、在命令行窗口中输入“...
  • MATLAB中的simulink怎么用
    答:1、先运行MATLAB,因为只有启动了MATLAB才能进行动态仿真。选择工具栏的“主页”,然后选择并点击“Simulink 库”。2、打开了Simulink Library Browser。在菜单栏中选择“file”,然后选择“new”。3、有两个选项,可以新建一个“Model”和“Library”。我们选择,新建一个Model。4、在命令行窗口中输入“...
  • simulink是什么,该如何使用?
    答:Simulink是一个对动态系统(包括连续系统、离散系统和混合系统)进行建模、仿真和综合分析的集成软件包,是Matlab的重要组成部分。总结一下Simulink建模与仿真步骤:画出系统框图,将需仿真的系统根据功能划分为子系统,然后选用模块搭建每个子系统 2. 拖拽模块库中所需模块到空白模型窗口中,按系统框图的布局...
  • simulink模型怎么导入到matlab里面
    答:软件:WIN10软件版本:3.2免费 操作软件:matlab 1、首先在电脑中找到并打开matlab,点击工具栏快捷图标,即可启动simulink。2、然后输入:simulink, 按回车键。3、回车键之后如图,成功启动simulink。4、还可以在matlab页面中,点击文件file。5、选择new——model,成功进入simulink界面了。